VHDL 2008: Just the New Stuff

该资源由用户: 留云念霜 上传  举报不良内容

VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed. * First in the market describing the new features of VHDL 2008;* Just the new features, so existing users and implementers can focus on what`s new; * Helps readers to learn the new features soon, rather than waiting for new editions of complete VHDL reference books. * Authoritative, written by experts in the area; * Tutorial style, making it more accessible than the VHDL Standard Language Reference Manual.

如果您对该资源产生疑虑,欢迎您 点击此处 举报不良内容。 希望我们能共建一个文明社区!感谢您的合作与支持!

扫一扫即可关注本站(PDF之家)微信公众账号
发送您想要找的书籍名称即可找到书籍

Image

本站为非盈利性网站, 但服务器成本高昂, 如果本站内容对您有帮助, 欢迎捐赠, 您的鼓励是我们最大的动力!

大小: 912.0 KB
格式: PDF

声明

本站资源来源于网络及个人用户网盘上传,仅用于分享知识,学习和交流! 本站不保存,不制作,不出售任何图书。请您下载完在24小时内删除。 资源禁用于商业用途!如果您喜欢本站资源,请购买正版,谢谢合作!

标签

VHDL 2008 Just New Stuff

扫码支持一下:

Image Image

猜你喜欢

VHDL 2008: Just the New Stuff

请输入验证码: